right commit

This commit is contained in:
lukas 2020-12-30 22:48:54 +01:00
parent 502952c7d3
commit ac1b27f2ff

View File

@ -1,6 +1,6 @@
$fn=50;
motorheight=42;
motorheight=42.1;
motorwidth=40.5;
wanddickemotor=3;
wanddickelinks=5.5;
@ -25,10 +25,12 @@ module mainbody(){
cube([motorwidth + wanddickemotor, wanddickelinks ,motorheight]);
translate([motorwidth,wanddickelinks,0])cube([wanddickemotor, motorheight, motorheight]);
translate([motorwidth + wanddickemotor,motorheight * 2 /3,motorheight]) rotate([0,0,180]) linear_extrude(3) polygon([[0,0], [0, motorheight * 2 /3], [motorwidth * 2 /3, motorheight* 2 /3]]);
}
module motorholes(){
translate([0,motorheight/2,motorheight/2])rotate([0,90,0])cylinder(h=wanddickemotor, d=cylinderdiameter);
translate([0,motorheight/2,motorheight/2])rotate([0,90,0])cylinder(h=wanddickemotor, d=cylinderdiameter, $fn=130);
translate([0,motorheight - motorholedistance,motorheight - motorholedistance]) MotorM3Hole();